:::

研究人才詳細資料


出版年月 著作類別 著作名稱 作者 收錄出處
2021-08 期刊論文 Homeotropic liquid crystal alignments through periodically unidirectional nano-wedges patterned by nanoimprint lithography C.R. Sheu, T.J. Wang, and C.H. Lin* Micro and Nano Engineering
2021-01 期刊論文 Direct synthesis of monolayer gold nanoparticles on epoxy based photoresist by photoreduction and application to surface-enhanced Raman sensing Y.J. Chen, W.H. Chang, C.Y. Li, Y.C. Chiu, C.C. Huang, and C.H. Lin* Materials and Design
2021-01 期刊論文 Selective Growth of Patterned Monolayer Gold Nanoparticles on SU-8 through Photoreduction for Plasmonic Applications Y.J. Chen, W.H. Chang, and C.H. Lin* ACS Applied Nano Materials
2020-04 期刊論文 Plasmonic nanocavities fabricated by directed self-assembly lithography and nanotransfer printing and used as surface-enhanced Raman scattering substrates C.W. Lin, S.H. Chang, C.C. Huang, and C.H. Lin* Microelectronic Engineering
2019-06 期刊論文 Quantifying Cell Confluency by Plasmonic Nanodot Arrays to Achieve Cultivating Consistency W.H. Chang*, Z.Y. Yang, T.W. Chong, Y.Y. Liu, H.W. Pan, and C.H. Lin* ACS Sensors
2017-01 期刊論文 A comparative study of inelastic scattering models at energy levels ranging from 0.5 keV to 10 keV C.Y. Hu and C.H. Lin* Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms
2016-04 期刊論文 Nanotransfer printing of plasmonic nano-pleat arrays with ultra-reduced nanocavity width using perfluoropolyether molds C.C. Liang, W.H. Chang, C.H. Lin* Journal of Materials Chemistry C
2015-07 研討會論文 Broadband Plasmonic Wave Plate Based on Bi-layer Nanorod Array Y.R. Huang, C.H. Lin*, and C.C. Liang
2015-07 研討會論文 Cell growth detection using a localized surface plasmon resonance sensor T.W. Chong, W.H. Chang*, C.C. Liang, and C.H. Lin*
2015-07 研討會論文 Fabrication of free-standing gold nanoslits by nano-transfer printing C.C. Liang and C.H. Lin
2015-07 研討會論文 High performance phase-based refractive index sensing using anisotropic periodic nanopatch arrays Y.T. Yang, C.H. Lin*, W.Y. Chen
2015-06 期刊論文 Reverse ray tracing for transformation optics C.Y. Hu and C.H. Lin* Optics Express
2015-03 期刊論文 Nanoimprinting of Flexible Polycarbonate Sheets with a Flexible Polymer Mold and Application to Superhydrophobic Surfaces C.C. Liang, C.H. Lin*, T.C. Cheng, J. Shieh, H.H. Li Advanced Materials Interfaces
2014-07 期刊論文 Solid immersion interference lithography with conformable phase mask C.H. Lin*, Y.C. Lin, C.C. Liang Microelectron. Eng.
2014-02 期刊論文 Decreasing reflection through the mutually positive effects of nanograss and nanopillars C.H. Lin, J. Shieh*, C.C. Liang, C.C. Cheng, and Y.C. Chen Journal of Materials Chemistry
2013-09 研討會論文 Free-standing transmission grating for EUV interference lithography C.H. Lin*, Y.R. Lin, S.H. Chen, Y.Y. Lee, H.S. Fung, B.Y. Shew, and Y.T. Huang,
2013-09 研討會論文 Nanoimprint of flexible polycarbonate sheet with flexible polymer mold C.C. Liang, T.C. Cheng, C.H. Lin*, and H.H. Lin
2013-08 研討會論文 Plasmonic phase retardation in anisotropic nanodots: Modeling and increased sensor figure of merit W.Y. Chen, C.H. Lin* and W.T. Chen
2013-07 期刊論文 Plasmonic phase transition and phase retardation: Essential optical characteristics of localized surface plasmon resonance W.Y. Chen, C.H. Lin* and W.T. Chen Nanoscale
2012-09 期刊論文 Off-plane diffraction of extreme ultraviolet light caused by line width roughness W.Y. Chen and C.H. Lin* Thin Solid Films
2012-09 研討會論文 Using nanoimprint lithography to realize periodic nano-wedges for liquid crystal alignment with a pretilt angle C.R. Sheu., T.J. Wang, and C.H. Lin*
2012-07 期刊論文 Extreme UV diffraction grating fabricated by nanoimprint lithography C.H. Lin*, Y.M. Lin, C.C. Liang, Y.Y. Lee, H.S. Fung, B.Y. Shew, and S.H. Chen Microelectron. Eng.
2012-07 研討會論文 Solid immersion interference lithography with conformable phase mask C.H. Lin*, Y.C. Lin and C.C. Liang
2011-09 研討會論文 Bilayer extreme UV diffraction grating fabricated by nanoimprint lithography C.H. Lin*, Y. M. Lin, C.C. Liang, Y.Y. Lee, H.S. Fung, B.Y. Shew, and S.H. Chen
2011-09 研討會論文 Estimation of Electric Fields at Bow-tie Antenna Gaps W.Y. Chen and C.H. Lin*
2011-09 研討會論文 The optical responses of gold pillar arrays fabricated by direct nanoimprinting of gold nanoparticles C.C. Liang, M.Y. Liao, T.C. Cheng, W.H. Chang, and C.H. Lin*
2011-08 期刊論文 Direct imprinting on a polycarbonate substrate with a compressed air press for polarizer applications C.H. Lin*, H.H. Lin, W.Y. Chen, and T.C. Cheng Microelectron. Eng.
2011-08 期刊論文 EUV interferometric lithography and structural characterization of an EUV diffraction grating with nondestructive spectroscopic ellipsometry C.H. Lin*, C.H. Fong, Y.M. Lin, Y.Y. Lee, H.S. Fung, B.Y. Shew, and J. Shieh Microelectron. Eng.
2011-02 期刊論文 Plasmonic metallic nanostructures by direct nanoimprinting of gold nanoparticles C.C. Liang, M.Y. Liao, W.Y. Chen, T.C. Cheng, W.H. Chang, and C.H. Lin* Optics Express
2010-11 期刊論文 Design of Artificial Hollow Moth-Eye Structures Using Anodic Nanocones for High-Performance Optics C.T. Wu, C.H. Lin, C. Cheng, C.S. Wu, H.C. Ting, F.C. Chang, and F.H Ko* Chemistry of Materials
2010-09 研討會論文 Direct imprinting on polycarbonate substrate for polarizer application H.H. Lin, W.Y. Chen, and C.H. Lin*
2010-09 研討會論文 Metallic dot array fabricated by direct nanoimprint of metallic nanoparticles C.C. Liang, M.Y. Liao , W.Y. Chen, T.C. Cheng, W.H. Chang, and C.H. Lin*
2010-09 研討會論文 Structure characterization of EUV diffraction grating with nondestructive spectroscopic ellipsometry C.H. Fong, Y.M. Lin, H.S. Fung, B.Y. Shew, J. Shieh, and C.H. Lin*
2010-06 期刊論文 A standing-wave interpretation of plasmon resonance excitation in split-ring resonators W.Y. Chen, C.H. Lin* Optics Express
2010-06 研討會論文 An Overview of EUVL Related R&D in Taiwan (invited panelist) C.H. Lin*
2010-06 研討會論文 Monte Carlo study of inelastic scattering models of low energy electrons C.Y. Hu, C.H. Lin*, S.J. Lin., and J.H. Chen
2010-05 期刊論文 Exploiting optical properties of P3HT:PCBM films for organic solar cells with semitransparent anode W.H. Lee, S.Y. Chuang, H.L. Chen*, W.F. Su, and C.H. Lin Thin Solid Films
2010-04 期刊論文 Spatially band-tunable color-cone lasing emission in a dye-doped cholesteric liquid crystal with a photoisomerizable chiral dopant C.R. Lee*, S.H. Lin, H.S. Ku, J.H. Liu, P.C. Yang, C.Y. Huang, H.C. Yeh, T.D. Ji, and C.H. Lin Optics Letters
2010-03 期刊論文 Nanoscale of biomimetic moth eye structures exhibiting inverse polarization phenomena at the Brewster angle S.Y. Chuang, H.L. Chen*, J. Shieh, C.H. Lin, C.C Cheng, H.W. Liu, and C.C. Yu Nanoscale
2009-09 研討會論文 Optical activity in C-shaped metallic array W.Y. Chen and C.H. Lin*, and F.H. Ko
2009-09 研討會論文 Silicon substrate with hydrophilic differences affects cell proliferation and adhesion W.F. Fong, W.H. Chang, J. Shieh, F.H. Ko, and C.H. Lin*
2009-09 研討會論文 The substrate topography effect in electron beam lithography by Monte Carlo simulation C.Y. Hu and C.H. Lin*
2009-02 期刊論文 A new organic/inorganic electroluminescent material with a silsesquioxane core C.C. Cheng, C.H. Chien, Y.C. Yen, Y.S. Ye, F.H. Ko, C.H. Lin, and F.C. Chang* Acta Materialia
2008-09 期刊論文 Using direct nanoimprinting of ferroelectric films to prepare devices exhibiting bi-directionally tunable surface plasmon resonances H.L. Chen, K.C. Hsieh, C.H. Lin, and S.H. Chen Nanotechnology
2007-10 期刊論文 Using colloidal lithography to fabricate and optimize sub-wavelength pyramidal and honeycomb structures in solar cells H.L. Chen, S.Y. Chuang, C.H. Lin, and Y.H. Lin Optics Express
2007-06 期刊論文 Influence of the mask magnification on lithographic imaging in hyper NA lithography C.H. Lin*, H.L. Chen, and F.H. Ko J. Opt. Soc. Am. A
2007-05 期刊論文 Rigorous electromagnetic simulation of mask magnification effects on the diffracted light for EUV binary mask C.H. Lin*, H.L. Chen, and F.H. Ko Microelectron. Eng.
2007-04 期刊論文 Plasma nanofabrications and antireflection applications J. Shieh, C.H. Lin, M.C. Yang Phys. D: Appl. Phys.
2007-04 期刊論文 Self-Organized Tantalum Oxide Nanopyramidal Arrays for Antireflective Structure C.T. Wu, and F.H. Ko*, and C.H. Lin Appl. Phys. Lett.
2007-03 期刊論文 Effect of Intermolecular Hydrogen Bonding on Low-Surface-Energy Material of Poly(vinyl phenol) H.C. Lin, C.F. Wang, P.H. Tung, S.W. Kuo, C.H. Lin, and F.C. Chang* J. Phys. Chem. B
2007-01 期刊論文 H.L. Chen*, K.T. Huang, C.H. Lin, W.Y. Wang, and Wonder Fan Fabrication of sub-wavelength antireflective structures in solar cells by utilizing modified illumination and defocus techniques in optical lithography Microelectron. Eng.
2006-04 期刊論文 Optical characterization of two-dimensional photonic crystals based on spectroscopic ellipsometry with rigorous coupled-wave analysis C.H. Lin*, H.L. Chen, W.C. Chao, C.I. Hsieh, and W.H. Chang Microelectronic Engineering
2005-11 期刊論文 Simulation of air bubble scattering effects in 193 nm immersion interferometric lithography C. H. Lin and L. A. Wang J. Vac. Sci. Technol. B
2005-05 期刊論文 Pair-Correlation Effect and Virial Theorem in the Self-Consistent Density-Functional Theory J. Y. Hsu, C. H. Lin, and C. Y. Cheng Physical Review A
2004-03 研討會論文 Two threshold resist models for optical proximity correction W.C. Huang, C.H. Lin, C.C. Kuo, C.C. Huang, J. F. Lin, J.H. Chen, R.G. Liu, Y.C. Ku, Burn J. Lin
2001-11 期刊論文 Feasibility of utilizing hexamethyldisiloxane film as a bottom antireflective coating for 157 nm lithography C. H. Lin and L. A. Wang J. Vac. Sci. Technol. B
2001-09 期刊論文 A study on adhesion and footing issues of HMDSO films as bottom antireflective coating for deep UV lithographies C.H. Lin , H.L. Chen , L.A. Wang Microelectronic Engineering
2000-11 期刊論文 Optimized bilayer hexamethyldisiloxane film as bottom antireflective coating for both KrF and ArF lithographies C. H. Lin, L. A. Wang, and H. L. Chen J. Vac. Sci. Technol. B
1999-05 期刊論文 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing DUV Holographic Lithography L. A. Wang, C. H. Lin and J. H. Chen Microelectronic Engineering